Search Options

Results per page
Sort
Preferred Languages
Advance

Results 171 - 180 of 553 for Shift2 (0.16 sec)

  1. test-site/activator

           -h|-help) usage; exit 1 ;;
        -v|-verbose) verbose=1 && shift ;;
          -d|-debug) debug=1 && shift ;;
               -mem) require_arg integer "$1" "$2" && app_mem="$2" && shift 2 ;;
         -jvm-debug) 
            if echo "$2" | grep -E ^[0-9]+$ > /dev/null; then 
                addDebugger "$2" && shift 
            else
                addDebugger 9999
            fi 
            shift ;;
    Registered: Wed Jun 12 15:38:08 UTC 2024
    - Last Modified: Mon Apr 20 08:41:37 UTC 2015
    - 9.3K bytes
    - Viewed (0)
  2. src/math/floor.go

    	bits := Float64bits(x)
    	e := uint(bits>>shift) & mask
    	if e >= bias {
    		// Round abs(x) >= 1.
    		// - Large numbers without fractional components, infinity, and NaN are unchanged.
    		// - Add 0.499.. or 0.5 before truncating depending on whether the truncated
    		//   number is even or odd (respectively).
    		const halfMinusULP = (1 << (shift - 1)) - 1
    		e -= bias
    		bits += (halfMinusULP + (bits>>(shift-e))&1) >> e
    		bits &^= fracMask >> e
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Mon Apr 11 16:34:30 UTC 2022
    - 3.3K bytes
    - Viewed (0)
  3. src/syscall/mksyscall.pl

    if($ARGV[0] eq "-b32") {
    	$_32bit = "big-endian";
    	shift;
    } elsif($ARGV[0] eq "-l32") {
    	$_32bit = "little-endian";
    	shift;
    }
    if($ARGV[0] eq "-plan9") {
    	$plan9 = 1;
    	shift;
    }
    if($ARGV[0] eq "-darwin") {
    	$darwin = 1;
    	$libc = 1;
    	shift;
    }
    if($ARGV[0] eq "-openbsd") {
    	$openbsd = 1;
    	shift;
    }
    if($ARGV[0] eq "-netbsd") {
    	$netbsd = 1;
    	shift;
    }
    if($ARGV[0] eq "-dragonfly") {
    	$dragonfly = 1;
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Fri May 24 17:15:02 UTC 2024
    - 10.3K bytes
    - Viewed (0)
  4. src/cmd/compile/internal/ssa/_gen/MIPSOps.go

    		// shifts
    		{name: "SLL", argLength: 2, reg: gp21, asm: "SLL"},                    // arg0 << arg1, shift amount is mod 32
    		{name: "SLLconst", argLength: 1, reg: gp11, asm: "SLL", aux: "Int32"}, // arg0 << auxInt, shift amount must be 0 through 31 inclusive
    		{name: "SRL", argLength: 2, reg: gp21, asm: "SRL"},                    // arg0 >> arg1, unsigned, shift amount is mod 32
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Wed May 24 14:43:03 UTC 2023
    - 24K bytes
    - Viewed (0)
  5. apache-maven/src/assembly/shared/init.cmd

    @REM POM location, if supplied.
    
    set FILE_ARG=
    :arg_loop
    if "%~1" == "-f" (
      set "FILE_ARG=%~2"
      shift
      goto process_file_arg
    )
    if "%~1" == "--file" (
      set "FILE_ARG=%~2"
      shift
      goto process_file_arg
    )
    @REM If none of the above, skip the argument
    shift
    if not "%~1" == "" (
      goto arg_loop
    ) else (
      goto findBaseDir
    )
    
    :process_file_arg
    if "%FILE_ARG%" == "" (
    Registered: Wed Jun 12 09:55:16 UTC 2024
    - Last Modified: Fri Dec 16 21:35:28 UTC 2022
    - 2.1K bytes
    - Viewed (0)
  6. src/internal/bytealg/index_ppc64x.s

    	RLDICR $0, R5, $59, R8 // Adjust addr to 16 byte container
    	VLOADSWAP(R8, R0, V0, V0) // Load 16 bytes @R8 into V0
    	SLD    $3, R9          // Set up shift count for VSLO
    	MTVSRD R9, V8         // Set up shift count for VSLO
    	VSLDOI $8, V8, V8, V8
    	VSLO   V0, V8, V0      // Shift by start byte
    
    	VAND V0, SEPMASK, V0 // Mask separator (< 16)
    	BR   index2plus
    
    sepcross16:
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Fri Apr 21 16:47:45 UTC 2023
    - 31.6K bytes
    - Viewed (0)
  7. src/cmd/compile/internal/inline/inlheur/serialize.go

    		funcProps.ResultFlags[i] = ResultPropBits(v)
    	}
    	return &funcProps
    }
    
    func readULEB128(sl []byte) (value uint64, rsl []byte) {
    	var shift uint
    
    	for {
    		b := sl[0]
    		sl = sl[1:]
    		value |= (uint64(b&0x7F) << shift)
    		if b&0x80 == 0 {
    			break
    		}
    		shift += 7
    	}
    	return value, sl
    }
    
    func writeUleb128(sb *strings.Builder, v uint64) {
    	if v < 128 {
    		sb.WriteByte(uint8(v))
    		return
    	}
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Wed Nov 08 15:02:55 UTC 2023
    - 1.7K bytes
    - Viewed (0)
  8. test/chan/select.go

    // Test simple select.
    
    package main
    
    var counter uint
    var shift uint
    
    func GetValue() uint {
    	counter++
    	return 1 << shift
    }
    
    func Send(a, b chan uint) int {
    	var i int
    
    LOOP:
    	for {
    		select {
    		case a <- GetValue():
    			i++
    			a = nil
    		case b <- GetValue():
    			i++
    			b = nil
    		default:
    			break LOOP
    		}
    		shift++
    	}
    	return i
    }
    
    func main() {
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Sun Feb 19 06:44:02 UTC 2012
    - 913 bytes
    - Viewed (0)
  9. src/runtime/slice.go

    	case isPowerOfTwo(et.Size_):
    		var shift uintptr
    		if goarch.PtrSize == 8 {
    			// Mask shift for better code generation.
    			shift = uintptr(sys.TrailingZeros64(uint64(et.Size_))) & 63
    		} else {
    			shift = uintptr(sys.TrailingZeros32(uint32(et.Size_))) & 31
    		}
    		lenmem = uintptr(oldLen) << shift
    		newlenmem = uintptr(newLen) << shift
    		capmem = roundupsize(uintptr(newcap)<<shift, noscan)
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Wed May 29 16:25:21 UTC 2024
    - 12.2K bytes
    - Viewed (0)
  10. src/crypto/md5/md5block_ppc64x.s

    #define ROUND1(a, b, c, d, index, const, shift) \
    	ADD	$const, index, R9; \
    	ADD	R9, a; \
    	AND     b, c, R9; \
    	ANDN    b, d, R31; \
    	OR	R9, R31, R9; \
    	ADD	R9, a; \
    	ROTLW	$shift, a; \
    	ADD	b, a;
    
    #define ROUND2(a, b, c, d, index, const, shift) \
    	ADD	$const, index, R9; \
    	ADD	R9, a; \
    	AND	b, d, R31; \
    	ANDN	d, c, R9; \
    	OR	R9, R31; \
    	ADD	R31, a; \
    	ROTLW	$shift, a; \
    	ADD	b, a;
    
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Mon May 20 18:05:32 UTC 2024
    - 5.3K bytes
    - Viewed (0)
Back to top