Search Options

Results per page
Sort
Preferred Languages
Advance

Results 1 - 10 of 83 for maddld (0.7 sec)

  1. test/codegen/arithmetic.go

    	// amd64:"IMUL3Q\t[$]23","(ADDQ\t[$]29)|(LEAQ\t29)"
    	// 386:"IMUL3L\t[$]23","ADDL\t[$]29"
    	// ppc64x/power9:"MADDLD",-"MULLD\t[$]23",-"ADD\t[$]29"
    	// ppc64x/power8:"MULLD\t[$]23","ADD\t[$]29"
    	return 5*n + 7*(n+1) + 11*(n+2) // 23n + 29
    }
    
    func MergeMuls3(a, n int) int {
    	// amd64:"ADDQ\t[$]19",-"IMULQ\t[$]19"
    	// 386:"ADDL\t[$]19",-"IMULL\t[$]19"
    	// ppc64x:"ADD\t[$]19",-"MULLD\t[$]19"
    	return a*n + 19*n // (a+19)n
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Fri May 17 15:28:00 UTC 2024
    - 15.2K bytes
    - Viewed (0)
  2. src/cmd/compile/internal/ssa/_gen/PPC64Ops.go

    		{name: "MULLWconst", argLength: 1, reg: gp11, asm: "MULLW", aux: "Int32", typ: "Int64"}, // arg0*auxInt (signed 64-bit)
    		{name: "MADDLD", argLength: 3, reg: gp31, asm: "MADDLD", typ: "Int64"},                  // (arg0*arg1)+arg2 (signed 64-bit)
    
    		{name: "MULHD", argLength: 2, reg: gp21, asm: "MULHD", commutative: true},   // (arg0 * arg1) >> 64, signed
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Wed May 22 19:59:38 UTC 2024
    - 43.8K bytes
    - Viewed (0)
  3. src/cmd/internal/obj/ppc64/asm9.go

    			opset(ACNTTZW, r0)
    			opset(ACNTTZWCC, r0)
    			opset(ACNTTZD, r0)
    			opset(ACNTTZDCC, r0)
    
    		case ACOPY: /* copy, paste. */
    			opset(APASTECC, r0)
    
    		case AMADDHD: /* maddhd, maddhdu, maddld */
    			opset(AMADDHDU, r0)
    			opset(AMADDLD, r0)
    
    		case AMOVBZ: /* lbz, stz, rlwm(r/r), lhz, lha, stz, and x variants */
    			opset(AMOVH, r0)
    			opset(AMOVHZ, r0)
    
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Wed May 15 13:55:28 UTC 2024
    - 156.1K bytes
    - Viewed (0)
  4. src/cmd/compile/internal/ssa/_gen/PPC64.rules

    (Max(32|64)F x y) && buildcfg.GOPPC64 >= 9 => (XSMAXJDP x y)
    
    // Combine 64 bit integer multiply and adds
    (ADD l:(MULLD x y) z) && buildcfg.GOPPC64 >= 9 && l.Uses == 1 && clobber(l) => (MADDLD x y z)
    
    (Mod16 x y) => (Mod32 (SignExt16to32 x) (SignExt16to32 y))
    (Mod16u x y) => (Mod32u (ZeroExt16to32 x) (ZeroExt16to32 y))
    (Mod8 x y) => (Mod32 (SignExt8to32 x) (SignExt8to32 y))
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Fri Jun 07 19:02:52 UTC 2024
    - 53.2K bytes
    - Viewed (0)
  5. src/crypto/des/block.go

    			for j := 0; j < 16; j++ {
    				f := uint64(sBoxes[s][i][j]) << (4 * (7 - uint(s)))
    				f = permuteBlock(f, permutationFunction[:])
    
    				// Row is determined by the 1st and 6th bit.
    				// Column is the middle four bits.
    				row := uint8(((i & 2) << 4) | i&1)
    				col := uint8(j << 1)
    				t := row | col
    
    				// The rotation was performed in the feistel rounds, being factored out and now mixed into the feistelBox.
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Mon May 13 18:57:38 UTC 2024
    - 6.5K bytes
    - Viewed (0)
  6. src/internal/trace/batchcursor_test.go

    	heap = heapInsert(heap, makeBatchCursor(5))
    	checkHeap(t, heap)
    	for i := int64(-20); i < 20; i++ {
    		heap = heapInsert(heap, makeBatchCursor(i))
    		checkHeap(t, heap)
    	}
    
    	// Update an element in the middle to be the new minimum.
    	for i := range heap {
    		if heap[i].ev.time == 5 {
    			heap[i].ev.time = -21
    			heapUpdate(heap, i)
    			break
    		}
    	}
    	checkHeap(t, heap)
    	if heap[0].ev.time != -21 {
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Fri May 17 18:48:18 UTC 2024
    - 3K bytes
    - Viewed (0)
  7. src/go/ast/walk_test.go

    package ast_test
    
    import (
    	"go/ast"
    	"go/parser"
    	"go/token"
    	"testing"
    )
    
    func TestPreorderBreak(t *testing.T) {
    	// This test checks that Preorder correctly handles a break statement while
    	// in the middle of walking a node. Previously, incorrect handling of the
    	// boolean returned by the yield function resulted in the iterator calling
    	// yield for sibling nodes even after yield had returned false. With that
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Thu May 16 16:34:10 UTC 2024
    - 916 bytes
    - Viewed (0)
  8. src/runtime/symtabinl_test.go

    			// We're probably in the trailing function padding, where findfunc
    			// still returns f but there's no symbolic information. Just keep
    			// going until we definitely hit the end. If we see a "?" in the
    			// middle of unwinding, that's a real problem.
    			//
    			// TODO: If we ever have function end information, use that to make
    			// this robust.
    			continue
    		}
    		for ; uf.valid(); uf = u.next(uf) {
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Fri May 17 15:41:45 UTC 2024
    - 3K bytes
    - Viewed (0)
  9. src/crypto/aes/block.go

    	s2 := byteorder.BeUint32(src[8:12])
    	s3 := byteorder.BeUint32(src[12:16])
    
    	// First round just XORs input with key.
    	s0 ^= xk[0]
    	s1 ^= xk[1]
    	s2 ^= xk[2]
    	s3 ^= xk[3]
    
    	// Middle rounds shuffle using tables.
    	// Number of rounds is set by length of expanded key.
    	nr := len(xk)/4 - 2 // - 2: one above, one more below
    	k := 4
    	var t0, t1, t2, t3 uint32
    	for r := 0; r < nr; r++ {
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Mon May 13 18:57:38 UTC 2024
    - 6.4K bytes
    - Viewed (0)
  10. tensorflow/compiler/mlir/lite/stablehlo/transforms/composite_avg_pool_patterns.td

    // Replaces aten.avg_pool2d with ceil mode with (T -> tfl.pad -> tfl.average_pool_2d -> mul -> T). Multiplies by a constant
    // which corrects the overcounting of divisors that would occur if doing this computation on a padded tensor with ceil mode off.
    def LegalizeAvgPoolCeilModeTrue: Pat<
                        (MHLO_CompositeOp:$old_val
                        (variadic $a_input), 
    Registered: Sun Jun 16 05:45:23 UTC 2024
    - Last Modified: Tue May 28 23:16:05 UTC 2024
    - 7.8K bytes
    - Viewed (0)
Back to top