Search Options

Results per page
Sort
Preferred Languages
Advance

Results 1 - 2 of 2 for cstdint (0.16 sec)

  1. CREDITS

     * of copying or use, license is withheld.
     */
    
    
    --------------------------------------------------
    For lib/py/compat/win32/stdint.h
    
    // ISO C9x  compliant stdint.h for Microsoft Visual Studio
    // Based on ISO/IEC 9899:TC2 Committee draft (May 6, 2005) WG14/N1124
    //
    //  Copyright (c) 2006-2008 Alexander Chemeris
    //
    Plain Text
    - Registered: Sun May 05 19:28:20 GMT 2024
    - Last Modified: Fri Apr 26 06:31:35 GMT 2024
    - 1.6M bytes
    - Viewed (0)
  2. common-protos/k8s.io/api/core/v1/generated.proto

      // Whether this container should allocate a buffer for stdin in the container runtime. If this
      // is not set, reads from stdin in the container will always result in EOF.
      // Default is false.
      // +optional
      optional bool stdin = 16;
    
      // Whether the container runtime should close the stdin channel after it has been opened by
      // a single attach. When stdin is true the stdin stream will remain open across multiple attach
    Plain Text
    - Registered: Wed May 08 22:53:08 GMT 2024
    - Last Modified: Mon Mar 11 18:43:24 GMT 2024
    - 255.8K bytes
    - Viewed (0)
Back to top