Search Options

Results per page
Sort
Preferred Languages
Advance

Results 1 - 9 of 9 for vpopcntw (0.18 sec)

  1. src/cmd/asm/internal/asm/testdata/ppc64.s

    	VCLZH V1, V2                    // 10400f42
    	VCLZW V1, V2                    // 10400f82
    	VCLZD V1, V2                    // 10400fc2
    	VPOPCNTB V1, V2                 // 10400f03
    	VPOPCNTH V1, V2                 // 10400f43
    	VPOPCNTW V1, V2                 // 10400f83
    	VPOPCNTD V1, V2                 // 10400fc3
    	VCMPEQUB V1, V2, V3             // 10611006
    	VCMPEQUBCC V1, V2, V3           // 10611406
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Fri May 17 21:53:50 UTC 2024
    - 50.2K bytes
    - Viewed (0)
  2. test/codegen/mathbits.go

    	// amd64:"POPCNTL"
    	// arm64:"VCNT","VUADDLV"
    	// s390x:"POPCNT"
    	// ppc64x:"POPCNTW"
    	// wasm:"I64Popcnt"
    	return bits.OnesCount32(n)
    }
    
    func OnesCount16(n uint16) int {
    	// amd64/v2:-".*x86HasPOPCNT" amd64/v3:-".*x86HasPOPCNT"
    	// amd64:"POPCNTL"
    	// arm64:"VCNT","VUADDLV"
    	// s390x:"POPCNT"
    	// ppc64x:"POPCNTW"
    	// wasm:"I64Popcnt"
    	return bits.OnesCount16(n)
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Thu May 23 18:51:17 UTC 2024
    - 19.6K bytes
    - Viewed (0)
  3. src/cmd/internal/obj/ppc64/asm9.go

    			opset(AVCLZB, r0)
    			opset(AVCLZH, r0)
    			opset(AVCLZW, r0)
    			opset(AVCLZD, r0)
    
    		case AVPOPCNT: /* vpopcntb, vpopcnth, vpopcntw, vpopcntd */
    			opset(AVPOPCNTB, r0)
    			opset(AVPOPCNTH, r0)
    			opset(AVPOPCNTW, r0)
    			opset(AVPOPCNTD, r0)
    
    		case AVCMPEQ: /* vcmpequb[.], vcmpequh[.], vcmpequw[.], vcmpequd[.] */
    			opset(AVCMPEQUB, r0)
    			opset(AVCMPEQUBCC, r0)
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Wed May 15 13:55:28 UTC 2024
    - 156.1K bytes
    - Viewed (0)
  4. src/cmd/compile/internal/ssa/_gen/PPC64Ops.go

    		{name: "POPCNTD", argLength: 1, reg: gp11, asm: "POPCNTD"}, // number of set bits in arg0
    		{name: "POPCNTW", argLength: 1, reg: gp11, asm: "POPCNTW"}, // number of set bits in each word of arg0 placed in corresponding word
    		{name: "POPCNTB", argLength: 1, reg: gp11, asm: "POPCNTB"}, // number of set bits in each byte of arg0 placed in corresponding byte
    
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Wed May 22 19:59:38 UTC 2024
    - 43.8K bytes
    - Viewed (0)
  5. src/cmd/compile/internal/ssa/_gen/PPC64.rules

    (Ctz64NonZero ...) => (Ctz64 ...)
    
    (Ctz64 x) && buildcfg.GOPPC64<=8 => (POPCNTD (ANDN <typ.Int64> (ADDconst <typ.Int64> [-1] x) x))
    (Ctz64 x) => (CNTTZD x)
    (Ctz32 x) && buildcfg.GOPPC64<=8 => (POPCNTW (MOVWZreg (ANDN <typ.Int> (ADDconst <typ.Int> [-1] x) x)))
    (Ctz32 x) => (CNTTZW (MOVWZreg x))
    (Ctz16 x) => (POPCNTW (MOVHZreg (ANDN <typ.Int16> (ADDconst <typ.Int16> [-1] x) x)))
    (Ctz8 x)  => (POPCNTB (MOVBZreg (ANDN <typ.UInt8> (ADDconst <typ.UInt8> [-1] x) x)))
    
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Fri Jun 07 19:02:52 UTC 2024
    - 53.2K bytes
    - Viewed (0)
  6. src/cmd/internal/obj/x86/asm6.go

    	{APMULLW, ymm, Py1, opBytes{0xd5, Pe, 0xd5}},
    	{APMULULQ, ymm, Py1, opBytes{0xf4, Pe, 0xf4}},
    	{APOPAL, ynone, P32, opBytes{0x61}},
    	{APOPAW, ynone, Pe, opBytes{0x61}},
    	{APOPCNTW, yml_rl, Pef3, opBytes{0xb8}},
    	{APOPCNTL, yml_rl, Pf3, opBytes{0xb8}},
    	{APOPCNTQ, yml_rl, Pfw, opBytes{0xb8}},
    	{APOPFL, ynone, P32, opBytes{0x9d}},
    	{APOPFQ, ynone, Py, opBytes{0x9d}},
    	{APOPFW, ynone, Pe, opBytes{0x9d}},
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Wed May 15 15:44:14 UTC 2024
    - 146.9K bytes
    - Viewed (0)
  7. src/cmd/compile/internal/ssa/rewritePPC64.go

    		v.AddArg2(y, x)
    		return true
    	}
    }
    func rewriteValuePPC64_OpCtz16(v *Value) bool {
    	v_0 := v.Args[0]
    	b := v.Block
    	typ := &b.Func.Config.Types
    	// match: (Ctz16 x)
    	// result: (POPCNTW (MOVHZreg (ANDN <typ.Int16> (ADDconst <typ.Int16> [-1] x) x)))
    	for {
    		x := v_0
    		v.reset(OpPPC64POPCNTW)
    		v0 := b.NewValue0(v.Pos, OpPPC64MOVHZreg, typ.Int64)
    		v1 := b.NewValue0(v.Pos, OpPPC64ANDN, typ.Int16)
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Fri Jun 07 19:02:52 UTC 2024
    - 360.2K bytes
    - Viewed (0)
  8. src/cmd/compile/internal/ssa/opGen.go

    				{0, 1073733624}, // R3 R4 R5 R6 R7 R8 R9 R10 R11 R12 R14 R15 R16 R17 R18 R19 R20 R21 R22 R23 R24 R25 R26 R27 R28 R29
    			},
    		},
    	},
    	{
    		name:   "POPCNTW",
    		argLen: 1,
    		asm:    ppc64.APOPCNTW,
    		reg: regInfo{
    			inputs: []inputInfo{
    				{0, 1073733630}, // SP SB R3 R4 R5 R6 R7 R8 R9 R10 R11 R12 R14 R15 R16 R17 R18 R19 R20 R21 R22 R23 R24 R25 R26 R27 R28 R29
    			},
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Thu May 23 15:49:20 UTC 2024
    - 1M bytes
    - Viewed (0)
  9. src/cmd/compile/internal/ssagen/ssa.go

    			bFalse := s.f.NewBlock(ssa.BlockPlain)
    			bEnd := s.f.NewBlock(ssa.BlockPlain)
    			b.AddEdgeTo(bTrue)
    			b.AddEdgeTo(bFalse)
    			b.Likely = ssa.BranchLikely // most machines have popcnt nowadays
    
    			// We have the intrinsic - use it directly.
    			s.startBlock(bTrue)
    			s.vars[n] = s.newValue1(op, types.Types[types.TINT], args[0])
    			s.endBlock().AddEdgeTo(bEnd)
    
    Registered: Wed Jun 12 16:32:35 UTC 2024
    - Last Modified: Mon Jun 10 19:44:43 UTC 2024
    - 284.9K bytes
    - Viewed (0)
Back to top