Search Options

Results per page
Sort
Preferred Languages
Advance

Results 1 - 3 of 3 for numberMisscheduled (0.21 sec)

  1. pkg/apis/apps/validation/validation_test.go

    				Status: apps.DaemonSetStatus{
    					CurrentNumberScheduled: -1,
    					NumberMisscheduled:     1,
    					DesiredNumberScheduled: 3,
    					NumberReady:            1,
    					ObservedGeneration:     3,
    					UpdatedNumberScheduled: 1,
    					NumberAvailable:        1,
    					NumberUnavailable:      2,
    				},
    			},
    		},
    		"negative NumberMisscheduled": {
    			old: apps.DaemonSet{
    				ObjectMeta: metav1.ObjectMeta{
    Registered: Sat Jun 15 01:39:40 UTC 2024
    - Last Modified: Wed Apr 24 18:25:29 UTC 2024
    - 111.9K bytes
    - Viewed (0)
  2. staging/src/k8s.io/api/apps/v1/generated.pb.go

    				return fmt.Errorf("proto: wrong wireType = %d for field NumberMisscheduled", wireType)
    			}
    			m.NumberMisscheduled = 0
    			for shift := uint(0); ; shift += 7 {
    				if shift >= 64 {
    					return ErrIntOverflowGenerated
    				}
    				if iNdEx >= l {
    					return io.ErrUnexpectedEOF
    				}
    				b := dAtA[iNdEx]
    				iNdEx++
    				m.NumberMisscheduled |= int32(b&0x7F) << shift
    				if b < 0x80 {
    					break
    Registered: Sat Jun 15 01:39:40 UTC 2024
    - Last Modified: Fri Mar 01 06:06:37 UTC 2024
    - 217.1K bytes
    - Viewed (0)
  3. staging/src/k8s.io/api/apps/v1beta2/generated.pb.go

    				return fmt.Errorf("proto: wrong wireType = %d for field NumberMisscheduled", wireType)
    			}
    			m.NumberMisscheduled = 0
    			for shift := uint(0); ; shift += 7 {
    				if shift >= 64 {
    					return ErrIntOverflowGenerated
    				}
    				if iNdEx >= l {
    					return io.ErrUnexpectedEOF
    				}
    				b := dAtA[iNdEx]
    				iNdEx++
    				m.NumberMisscheduled |= int32(b&0x7F) << shift
    				if b < 0x80 {
    					break
    Registered: Sat Jun 15 01:39:40 UTC 2024
    - Last Modified: Fri Mar 01 06:06:37 UTC 2024
    - 235.6K bytes
    - Viewed (0)
Back to top